Bin derzeit unter Anderem mit VHDL für mein FPGA - Board beschäftigt ;) .
Jetzt hat sich folgendes Problem aufgetan:
Code: Alles auswählen
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
ENTITY InstructionDevice1 IS
PORT(
DATA_internal : INOUT std_logic_vector(0 to 7);
);
END InstructionDevice1;
ARCHITECTURE Behav OF InstructionDevice1 IS
type SRAM is array (Natural range <>) of Std_Logic;
VARIABLE SRAM_MEMORY : SRAM (0 to 7);
BEGIN
SRAM_MEMORY := DATA_internal;
DATA_internal <= ('0','0','0','0','0','0','0','0');
END Behav;
Was ist, wenn man einen zweidimensionalen Array hat und einen Vektor darin adressiert:
Code: Alles auswählen
ARCHITECTURE Behav OF InstructionDevice1 IS
type SRAM is array (Natural range <>,Natural range <>) of Std_Logic;
VARIABLE SRAM_MEMORY : SRAM (0 to 7, 0 to 7);
BEGIN
SRAM_MEMORY(0) := DATA_internal;
DATA_internal <= ('0','0','0','0','0','0','0','0');
END Behav;
Oder muss ich explizit
Code: Alles auswählen
SRAM_MEMORY(0) := ('0','0','0','0','0','0','0','0');
Gruss
RedGuy