Die Suche ergab 117 Treffer

von RedGuy
09.07.2017, 20:31
Forum: Algorithmen und Datenstrukturen
Thema: sound channel mixing - Formel ?
Antworten: 59
Zugriffe: 21894

Re: sound channel mixing - Formel ?

Hallo ! Danke an DerAlbi ! Also das mit dem Faktor multiplizieren ist eine gute Idee. Man könnte ja den Durchschnitt berechnen. Allerdings frage ich mich was eigentlich real ist. Normalerweiße müsste man nun wirklich physikalisch hergehen und das schwingende System Luftmolekül betrachten: die Masse ...
von RedGuy
09.07.2017, 18:06
Forum: Algorithmen und Datenstrukturen
Thema: sound channel mixing - Formel ?
Antworten: 59
Zugriffe: 21894

Re: sound channel mixing - Formel ?

Hi !

also ich hab keine Formel gefunden- das ist das Problem :( .

Gruss
von RedGuy
09.07.2017, 12:33
Forum: Algorithmen und Datenstrukturen
Thema: sound channel mixing - Formel ?
Antworten: 59
Zugriffe: 21894

sound channel mixing - Formel ?

Hi ! für mein Projekt eigener Computer https://zfx.info/viewtopic.php?f=10&t=4123 bin ich gerade an einem eigenen Sound-Chip dran ;) . Jetzt stellt sich für mich eine triviale Frage: Wenn man verschiedenen Kanäle für sound hat (zum Beispiel mehrere Geräuschquellen). Wie berechnet man die resulti...
von RedGuy
08.07.2017, 22:23
Forum: Allgemeines Talk-Brett
Thema: Hörenswerte Mucke
Antworten: 211
Zugriffe: 134986

Re: Hörenswerte Mucke

Hi !

Unreal Tournament Demo: CTF-CoretDEMO level Musik :D ;)

Gruss
von RedGuy
24.06.2017, 18:25
Forum: Vorstellungsbereich
Thema: [Projekt] eigener Computer
Antworten: 53
Zugriffe: 25159

Re: [Projekt] eigener Computer

Hi zusammen ! Hab für die Planungsphase auf physical layout Ebene UND HERSTELLUNG des Prozessors eine eigene Chip-Design Software geschrieben ;) . Ein Screenshot ist beigefügt. Damit lässt sich der Prozessor auf Transistorebene aufplanen! Mein Ziel ist es auch einen Simulator und einen sogenannten s...
von RedGuy
13.05.2017, 11:18
Forum: Programmiersprachen, Quelltext und Bibliotheken
Thema: VHDL...
Antworten: 6
Zugriffe: 2174

Re: VHDL...

Hi !

Dank an masterQ32.

Hab auch ne Site gefunden: https://www.xilinx.com/support/answers/45213.html

Gruss
Red
von RedGuy
13.05.2017, 11:08
Forum: Programmiersprachen, Quelltext und Bibliotheken
Thema: VHDL...
Antworten: 6
Zugriffe: 2174

Re: VHDL...

Hallo !

Für das Problem habe ich noch keine Anwort/Lösung :( .


Noch ein zweites Problem:

Wie adressiert man einen Array binär (in meinem Fall über einen std_logic_vector) ?


Gruss
RedGuy
von RedGuy
10.05.2017, 22:06
Forum: Programmiersprachen, Quelltext und Bibliotheken
Thema: VHDL...
Antworten: 6
Zugriffe: 2174

Re: VHDL...

Hallo!

Mein Problem ist manchmal das ggf. nicht sequentielle Kompilieren des VHDL codes.

Wird der Array SRAM_MEMORY nun in erstem Beispiel mit den Nullen beschrieben, oder nicht.

@Albi: die Site hab ich schon gesehen.

Gruss
Red
von RedGuy
10.05.2017, 21:44
Forum: Zusammenarbeit
Thema: Modellierung einer 3d-Schrift
Antworten: 2
Zugriffe: 1811

Modellierung einer 3d-Schrift

Suche 3D-Artist Informationen zum Projekt Projektname kompletter Computer Kurzbeschreibung des Projektes/Mission Statement Computer von Grundauf entwickelt, samt Prozessor, Grafik- und Soundkarte, sowie weiterer benötigten Mikrochips, und einem Betriebsystem, samt Programmiersprache. Das Betriebsys...
von RedGuy
10.05.2017, 20:21
Forum: Programmiersprachen, Quelltext und Bibliotheken
Thema: VHDL...
Antworten: 6
Zugriffe: 2174

VHDL...

Hi ! Bin derzeit unter Anderem mit VHDL für mein FPGA - Board beschäftigt ;) . Jetzt hat sich folgendes Problem aufgetan: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; ENTITY InstructionDevice1 IS PORT( DATA_internal : INOUT std_logic_vector(0 to 7); ); END InstructionD...
von RedGuy
06.05.2017, 15:22
Forum: Vorstellungsbereich
Thema: [Projekt] eigener Computer
Antworten: 53
Zugriffe: 25159

Re: [Projekt] eigener Computer

So ! Jetzt müsste alles angezeigt werden...

Allerdings hat die Änderung meines alten posts nicht dazu geführt, dass ich im Showroom angezeigt werde :cry: ...
von RedGuy
05.05.2017, 18:07
Forum: Allgemeines Talk-Brett
Thema: Hörenswerte Mucke
Antworten: 211
Zugriffe: 134986

Re: Hörenswerte Mucke

Hi !


Also ich höre die ganze Zeit: www.sunshine-live.de
Und dort der Techno - Channel.

Besser gehts nicht, wer auf Techno steht.

Gruss
RedGuy
von RedGuy
05.05.2017, 18:02
Forum: Vorstellungsbereich
Thema: [Projekt] eigener Computer
Antworten: 53
Zugriffe: 25159

Re: [Projekt] eigener Computer

Hi ! Hast recht - hinundwieder beschreibe ich mal was :D - z.B. vor allem https://zfx.info/viewtopic.php?f=5&t=4156#p53807 Allerdings bis es zur ersten Betriebsystem-Testapplikation kommt und die dann auf dem FPGA Chip läuft kann es noch ein oder zwei Monate dauern. Dieser Zeitraum ist realistis...
von RedGuy
03.05.2017, 17:10
Forum: Vorstellungsbereich
Thema: [Projekt] eigener Computer
Antworten: 53
Zugriffe: 25159

Re: [Projekt] eigener Computer

Hi !

yep! das FPGA-board ist da.
Hab heute meinen Command-Counter des Prozessors (128bits !!!) auf binärer Ebene automatisiert hardcoded in VHDL programmiert 8-)

Gruss
Red
von RedGuy
01.05.2017, 21:03
Forum: Vorstellungsbereich
Thema: [Projekt] eigener Computer
Antworten: 53
Zugriffe: 25159

Re: [Projekt] eigener Computer

Hi ! Also ich komme Riesenschritte voran: Bin grad bei der GUI und zwar an der Stelle wo eine Anwendung sein Desktop-Icon definiert und das Icon vom Betriebsystem auf die Festplatte geschrieben wird. Bei meinem Betriebssystem wird das von der Anwendung erledigt (also das Festlegen des Icons). AUßERD...
von RedGuy
01.05.2017, 20:47
Forum: Programmiersprachen, Quelltext und Bibliotheken
Thema: Pascal: Zeiger
Antworten: 14
Zugriffe: 5392

Re: Pascal: Zeiger

Hi ! Wie gesagt: Ich weiß, was Zeiger sind. Zeiger sind Variablen, die Speicheradressen beinhalten. Dies wird von der Programmiersprache so gehandhabt. Jetzt noch einmal zu meinem -nun vollständigen- Code: var Zeiger1,Zeiger2 : ^integer; {dies sind zwei Zeigervariablen} begin new (Zeiger1); {Zeigerk...
von RedGuy
09.04.2017, 12:03
Forum: Programmiersprachen, Quelltext und Bibliotheken
Thema: Pascal: Zeiger
Antworten: 14
Zugriffe: 5392

Re: Pascal: Zeiger

Hi !

also ich weiß schon was Zeiger sind. Nur kenne ich mich wohl mit der Syntax von Pascal noch nicht so aus :(, da ich gerade beim Aufrischen/Lernen bin.
Das bei facebook war wohl eher als Kommentar gedacht. Wohl etwas unglücklich ausgedrückt :( .

Gruss
RedGuy
von RedGuy
08.04.2017, 11:08
Forum: Programmiersprachen, Quelltext und Bibliotheken
Thema: Pascal: Zeiger
Antworten: 14
Zugriffe: 5392

Re: Pascal: Zeiger

Hi !

Ok !
Hab vergessen den Variablen etwas zu zuweisen.

Also entsteht hier eine zyklische Abhängigkeit:

Code: Alles auswählen


var

Zeiger1,Zeiger2 : ^integer; {dies sind zwei Zeigervariablen}

begin
Zeiger1^ = 5; {eine Kleinigkeit zuweisen}
Zeiger2^ = 6;

Zeiger1 := Zeiger2;
Zeiger2 := Zeiger1;
end.

Gruss
Red
von RedGuy
08.04.2017, 09:49
Forum: Programmiersprachen, Quelltext und Bibliotheken
Thema: Pascal: Zeiger
Antworten: 14
Zugriffe: 5392

Pascal: Zeiger

Hi ! Kennt sich jemand mit Pascal aus ? Es dreht sich um pointer in Pascal: var Zeiger1,Zeiger2 : ^integer; {dies sind zwei Zeigervariablen} begin Zeiger1 := Zeiger2; Zeiger2 := Zeiger1; end. Dieses Beispiel müsste doch zu einer zyklischen Abhängigkeit führen oder ? Also Zeiger1 zeigt auf Zeiger2 un...
von RedGuy
16.02.2017, 10:35
Forum: Vorstellungsbereich
Thema: [Projekt] eigener Computer
Antworten: 53
Zugriffe: 25159

Re: [Projekt] eigener Computer

Hi Zudomon !! Selbstverständlich kann ich dann screenshots zeigen ;) . Tatsächlich, ich baue eine hardware Beschleunigung (3d-Grafikchip). AAALSO. Das Ganze basiert auf rasterizing. Außerdem habe ich einen Entwicklungsprozess eingeplant: Im Moment verwende ich keine shaders und leider habe ich nicht...
von RedGuy
16.02.2017, 08:34
Forum: Vorstellungsbereich
Thema: [Projekt] eigener Computer
Antworten: 53
Zugriffe: 25159

Re: [Projekt] eigener Computer

Hi !! Danke ! Also ein youtube-Video bringt im Moment nichts, denn ich habe NOCH nichts Anschauliches zum vorführen. Wenn es soweit ist, geb ich natürlich Bescheid !!! Also gestern hab ich den Treiberbereich konzeptioniert. Heute kommt die GUI dran ;) . Zur Erinnerung: ich hab ne 3d GUI 8-) !!!!!!!!...
von RedGuy
15.02.2017, 11:15
Forum: Vorstellungsbereich
Thema: [Projekt] eigener Computer
Antworten: 53
Zugriffe: 25159

Re: [Projekt] eigener Computer

Der Hauptteil von meinem Betriebsystemkernel ist fertig. Also man könnte sagen mein Betriebsystemkernel ist fertig. Dateisystem, GUI (samt Schrift), Treiber sind nur noch eine Erweiterung dessen. Den fertigen Kernel nenne ich den sogenannten Resourcemanager und dieser umfasst ca. 600 Zeilen code in ...
von RedGuy
04.02.2017, 15:56
Forum: Vorstellungsbereich
Thema: [Projekt] eigener Computer
Antworten: 53
Zugriffe: 25159

Re: [Projekt] eigener Computer

@Oprah Noodlematra

also das ist richtig. daran hab ich nicht gedacht :D ! Das hab ich wohl aus den Gründen so implementiert, da halt eine Funktion auf jeden Fall so definiert ist.

Danke für den Tipp !!!

Gruss
RedGuy
von RedGuy
02.02.2017, 10:53
Forum: Vorstellungsbereich
Thema: [Projekt] eigener Computer
Antworten: 53
Zugriffe: 25159

Re: [Projekt] eigener Computer

Hi !! Also: Hiermit, wie versprochen, die Spezifikation meiner Programmiersprache 8-) !!! DER AKTUELLE STAND: Sub-Projekt Programmiersprache: bug-fixing im Bereich der arrays. Sub-Projekt Betriebsystem: kernel - Konzept ist im Detail fertig, Treiber und GUI Konzepte sind fertig Sub-Projekt Mikrochip...
von RedGuy
08.01.2017, 18:30
Forum: Grafikprogrammierung
Thema: Texturen
Antworten: 12
Zugriffe: 4344

Re: Texturen

Hi !! Um nochmals zu meiner Frage zurückzukommen, wie man aus den Texturkoordinaten die Bildschirmkoordinaten bekommt: Also baryzentrische und trilineare Koordinaten haben beide nicht funktioniert :( . Hab alles ausprobiert- hab aber immer einen falschen Punkt bekommen... JETZT ERLEDIGE ICH DAS WIE ...
von RedGuy
01.01.2017, 17:34
Forum: Allgemeines Talk-Brett
Thema: mathematische Gleichungen automatisch lösen Software
Antworten: 4
Zugriffe: 1563

Re: mathematische Gleichungen automatisch lösen Software

Hallo !


Danke Euch für die Antworten !!

Diese helfen mir sehr weiter ;) !!

Gruss
RedGuy
von RedGuy
31.12.2016, 20:34
Forum: Allgemeines Talk-Brett
Thema: mathematische Gleichungen automatisch lösen Software
Antworten: 4
Zugriffe: 1563

mathematische Gleichungen automatisch lösen Software

Hi zusammen ! Also ich hab grad mathematische Gleichungen, die aus allen Nähten platzen. Jetzt ist es für mich von Hand unmöglich diese zu lösen. Einen anderen Lösungsweg für das Problem habe ich bisher nicht. Jetzt meine Frage: Gibt es Software, um mathematische Gleichungen automatisch zu lösen ?!?...
von RedGuy
29.12.2016, 11:06
Forum: Grafikprogrammierung
Thema: Texturen
Antworten: 12
Zugriffe: 4344

Texturen

Hallo ! Im Rahmen meines Projektes http://zfx.info/viewtopic.php?f=10&t=4123 eigener Computer bin ich gerade dabei den Grafikchip zu designen :geek: !!! Grundsätzlich bin ich dabei, mich bei den Polygonen für Dreiecke zu entscheiden. Bei der Entwicklung des Protokolles für die Kommunikation zwis...
von RedGuy
07.11.2016, 17:48
Forum: Allgemeines Talk-Brett
Thema: screen refresh rate
Antworten: 6
Zugriffe: 3229

Re: screen refresh rate

Hi ! Der virtuelle Monitor ist schon programmiert (innerhalb von einem Tag) 8-) . Er simuliert dabei ein Stück weit meine selbst gebaute Grafikkarte. Diese verwendet für das Erstellen und Aufrechterhalten des VGA - Signals Folgendes: Ein RGB - Register (also 1 Register je Rot, Grün, Blau) und je ein...
von RedGuy
05.11.2016, 12:07
Forum: Allgemeines Talk-Brett
Thema: screen refresh rate
Antworten: 6
Zugriffe: 3229

Re: screen refresh rate

Hi, MasterQ32 !

Hey, gar nicht gesehen. Das ist ja optimal, da muss ich mich erst einmal gar nicht um DDC/CI kümmern.

Vielen, vielen Dank !!


Gruss
RedGuy